Ys8M( cL ),Qualcomm Technologies, Inc. X1E80100 CRD 2qcom,x1e80100-crdqcom,x1e80100chosen=serial0:115200n8clocksxo-board 2fixed-clockIYfsleep-clk 2fixed-clockI}Yf+bi-tcxo-div2-clk2fixed-factor-clockYnuf*bi-tcxo-ao-div2-clk2fixed-factor-clockYnufcpus cpu@0cpu 2qcom,oryonpscipscifl2-cache2cachefcpu@100cpu 2qcom,oryonpscipscifcpu@200cpu 2qcom,oryonpscipscifcpu@300cpu 2qcom,oryonpscipscifcpu@10000cpu 2qcom,oryonpsci  pscifl2-cache2cachef cpu@10100cpu 2qcom,oryonpsci  pscifcpu@10200cpu 2qcom,oryonpsci  pscifcpu@10300cpu 2qcom,oryonpsci  pscifcpu@20000cpu 2qcom,oryonpscipscifl2-cache2cachefcpu@20100cpu 2qcom,oryonpscipscifcpu@20200cpu 2qcom,oryonpscipscifcpu@20300cpu 2qcom,oryonpscipscifcpu-mapcluster0core0core1core2core3cluster1core0core1core2core3cluster2core0core1core2core3idle-statespscicpu-sleep-02arm,idle-stateret%<M@]fdomain-idle-statescluster-sleep-02domain-idle-statel2-ret%D<^M] f%cluster-sleep-12domain-idle-state ret-pll-off%T<M ]Xf&firmwarescm2qcom,scm-x1e80100qcom,scmn interconnect-02qcom,x1e80100-clk-virt|!f4interconnect-12qcom,x1e80100-mc-virt|!f memory@80000000memorypmu2arm,armv8-pmuv3 psci 2arm,psci-1.0smcpower-domain-cpu0"fpower-domain-cpu1"fpower-domain-cpu2"fpower-domain-cpu3"fpower-domain-cpu4#f power-domain-cpu5#f power-domain-cpu6#f power-domain-cpu7#f power-domain-cpu8$fpower-domain-cpu9$fpower-domain-cpu10$fpower-domain-cpu11$fpower-domain-cpu-cluster0%&'f"power-domain-cpu-cluster1%&'f#power-domain-cpu-cluster2%&'f$power-domain-systemf'reserved-memory gunyah-hyp@80000000hyp-elf-package@80800000 ncc@80a00000@cpucp-log@80e00000cpucp@80e40000Treserved-region@813800008tags-region@81400000@xbl-dtlog@81a00000xbl-ramdump@81a40000aop-image@81c00000aop-cmd-db@81c60000 2qcom,cmd-dbaop-config@81c80000tme-crash-dump@81ca0000tme-log@81ce0000@uefi-log@81ce4000@secdata-apss@81cff000pdp-ns-shared@81e00000gpu-prr@81f00000tpm-control@81f10000usb-ucsi-shared@81f20000pld-pep@81f30000`pld-gmu@81f36000`pld-pdp@81f37000ptz-stat@82700000pxbl-tmp-buffer@82800000adsp-rpc-remote-heap@84b00000spu-secure-shared-memory@853000000adsp-boot-dtb@866c0000lspss-region@86700000p@adsp-boot@86b00000video@87700000ppadspslpi@87e00000fq6-adsp-dtb@8b800000fcdsp@8b900000fq6-cdsp-dtb@8d900000fgpu-microcode@8d9fe000 cvp@8da00000pcamera@8e100000av1-encoder@8e900000preserved-region@8f000000wpss@8fa00000q6-wpss-dtb@913000000xbl-sc@d8000000reserved-region@d8040000 qtee@d80e0000Rta@d8600000`tags@e1000000jllcc-lpi@ff800000`smem@ffe00000 2qcom,smem (linux,cma2shared-dma-poolsmp2p-adsp 2qcom,smp2p) )#-<master-kernelLmaster-kernel\fslave-kernel Lslave-kernelsfsmp2p-cdsp 2qcom,smp2p) )#^-<master-kernelLmaster-kernel\fslave-kernel Lslave-kernelsfsoc@0 2simple-bus clock-controller@1000002qcom,x1e80100-gcc 4n*+,-./01Yf3mailbox@4080002qcom,x1e80100-ipccqcom,ipcc@ sf)dma-controller@800000*2qcom,x1e80100-gpi-dmaqcom,sm6350-gpi-dma > 26 disabledf7geniqup@8c00002qcom,geni-se-qup n33 m-ahbs-ahb 2# okayi2c@8800002qcom,geni-i2c@ (n3seHn4456 qup-corequp-configqup-memory 77txrx"8,default  disabledspi@8800002qcom,geni-spi@ (n3seHn4456 qup-corequp-configqup-memory 77txrx"9:,default  disabledi2c@8840002qcom,geni-i2c@@ )n3seHn4456 qup-corequp-configqup-memory 77txrx";,default  disabledspi@8840002qcom,geni-spi@@ )n3seHn4456 qup-corequp-configqup-memory 77txrx"<=,default  disabledi2c@8880002qcom,geni-i2c@ *n3seHn4456 qup-corequp-configqup-memory 77txrx">,default  disabledspi@8880002qcom,geni-spi@ *n3seHn4456 qup-corequp-configqup-memory 77txrx"?@,default  disabledi2c@88c0002qcom,geni-i2c@ +n3seHn4456 qup-corequp-configqup-memory 77txrx"A,default  disabledspi@88c0002qcom,geni-spi@ +n3seHn4456 qup-corequp-configqup-memory 77txrx"BC,default  disabledi2c@8900002qcom,geni-i2c@ ,n3seHn4456 qup-corequp-configqup-memory 77txrx"D,default  disabledspi@8900002qcom,geni-spi@ ,n3seHn4456 qup-corequp-configqup-memory 77txrx"EF,default  disabledi2c@8940002qcom,geni-i2c@@ -n3seHn4456 qup-corequp-configqup-memory 77txrx"G,default  disabledspi@8940002qcom,geni-spi@@ -n3seHn4456 qup-corequp-configqup-memory 77txrx"HI,default  disabledserial@8940002qcom,geni-debug-uart@@ -n3se0n4456qup-corequp-config"J,defaultokayi2c@8980002qcom,geni-i2c@ n3seHn4456 qup-corequp-configqup-memory 77txrx"K,default  disabledspi@8980002qcom,geni-spi@ n3seHn4456 qup-corequp-configqup-memory 77txrx"LM,default  disabledi2c@89c0002qcom,geni-i2c@ n3seHn4456 qup-corequp-configqup-memory 77txrx"N,default  disabledspi@89c0002qcom,geni-spi@ n3seHn4456 qup-corequp-configqup-memory 77txrx"OP,default  disableddma-controller@a00000*2qcom,x1e80100-gpi-dmaqcom,sm6350-gpi-dma      > 26 disabledfRgeniqup@ac00002qcom,geni-se-qup n33 m-ahbs-ahb 2# okayi2c@a800002qcom,geni-i2c@  n3seHn4456Q qup-corequp-configqup-memory RRtxrx"S,default okayItouchscreen@10 2hid-over-i2c: T3"U,defaultspi@a800002qcom,geni-spi@  n3seHn4456Q qup-corequp-configqup-memory RRtxrx"VW,default  disabledi2c@a840002qcom,geni-i2c@@ !n3seHn4456Q qup-corequp-configqup-memory RRtxrx"X,default  disabledspi@a840002qcom,geni-spi@@ !n3seHn4456Q qup-corequp-configqup-memory RRtxrx"YZ,default  disabledi2c@a880002qcom,geni-i2c@ "n3seHn4456Q qup-corequp-configqup-memory RRtxrx"[,default  disabledspi@a880002qcom,geni-spi@ "n3seHn4456Q qup-corequp-configqup-memory RRtxrx"\],default  disabledi2c@a8c0002qcom,geni-i2c@ #n3seHn4456Q qup-corequp-configqup-memory RRtxrx"^,default  disabledspi@a8c0002qcom,geni-spi@ #n3seHn4456Q qup-corequp-configqup-memory RRtxrx"_`,default  disabledi2c@a900002qcom,geni-i2c@ $n3seHn4456Q qup-corequp-configqup-memory RRtxrx"a,default  disabledspi@a900002qcom,geni-spi@ $n3seHn4456Q qup-corequp-configqup-memory RRtxrx"bc,default  disabledi2c@a940002qcom,geni-i2c@@ %n3seHn4456Q qup-corequp-configqup-memory RRtxrx"d,default  disabledspi@a940002qcom,geni-spi@@ %n3seHn4456Q qup-corequp-configqup-memory RRtxrx"ef,default  disabledi2c@a980002qcom,geni-i2c@ &n3seHn4456Q qup-corequp-configqup-memory RRtxrx"g,default  disabledspi@a980002qcom,geni-spi@ &n3seHn4456Q qup-corequp-configqup-memory RRtxrx"hi,default  disabledi2c@a9c0002qcom,geni-i2c@ 'n3seHn4456Q qup-corequp-configqup-memory RRtxrx"j,default  disabledspi@a9c0002qcom,geni-spi@ 'n3seHn4456Q qup-corequp-configqup-memory RRtxrx"kl,default  disableddma-controller@b00000*2qcom,x1e80100-gpi-dmaqcom,sm6350-gpi-dmaLMNOPQRSTUVW > 2V disabledfmgeniqup@bc00002qcom,geni-se-qup n33 m-ahbs-ahb 2C okayi2c@b800002qcom,geni-i2c@ un3seHn4456 qup-corequp-configqup-memory mmtxrx"n,default okayItouchpad@15 2hid-over-i2c: T"o,defaultIkeyboard@3a 2hid-over-i2c:: TC"p,defaultIspi@b800002qcom,geni-spi@ un3seHn4456 qup-corequp-configqup-memory mmtxrx"qr,default  disabledi2c@b840002qcom,geni-i2c@@ Gn3seHn4456 qup-corequp-configqup-memory mmtxrx"s,default  disabledspi@b840002qcom,geni-spi@@ Gn3seHn4456 qup-corequp-configqup-memory mmtxrx"tu,default  disabledi2c@b880002qcom,geni-i2c@ Hn3seHn4456 qup-corequp-configqup-memory mmtxrx"v,default  disabledspi@b880002qcom,geni-spi@ Hn3seHn4456 qup-corequp-configqup-memory mmtxrx"wx,default  disabledi2c@b8c0002qcom,geni-i2c@ In3seHn4456 qup-corequp-configqup-memory mmtxrx"y,default  disabledspi@b8c0002qcom,geni-spi@ In3seHn4456 qup-corequp-configqup-memory mmtxrx"z{,default  disabledi2c@b900002qcom,geni-i2c@ Jn3seHn4456 qup-corequp-configqup-memory mmtxrx"|,default  disabledspi@b900002qcom,geni-spi@ Jn3seHn4456 qup-corequp-configqup-memory mmtxrx"}~,default  disabledi2c@b940002qcom,geni-i2c@@ Kn3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledspi@b940002qcom,geni-spi@@ Kn3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledi2c@b980002qcom,geni-i2c@ n3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledspi@b980002qcom,geni-spi@ n3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledi2c@b9c0002qcom,geni-i2c@ n3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledspi@b9c0002qcom,geni-spi@ n3seHn4456 qup-corequp-configqup-memory mmtxrx",default  disabledphy@fd300082qcom,x1e80100-snps-eusb2-phyqcom,sm8550-snps-eusb2-phy0TWnrefb36okayitfphy@fd50002qcom,x1e80100-qmp-usb3-dp-phyP@ n333auxrefcom_auxusb3_pipe3b3D3O phycommonYWokayf.phy@fd900082qcom,x1e80100-snps-eusb2-phyqcom,sm8550-snps-eusb2-phyTWnrefb37okayitfphy@fda0002qcom,x1e80100-qmp-usb3-dp-phy@ n3 3"3#auxrefcom_auxusb3_pipe3b3E3P phycommonYWokayf/phy@fde00082qcom,x1e80100-snps-eusb2-phyqcom,sm8550-snps-eusb2-phyTWnrefb38okayitfphy@fdf0002qcom,x1e80100-qmp-usb3-dp-phy@ n3$3&3'auxrefcom_auxusb3_pipe3b3F3Q phycommonYWokayf0interconnect@15000002qcom,x1e80100-cnoc-mainPD!|finterconnect@16000002qcom,x1e80100-cnoc-cfg`f!|f6interconnect@16800002qcom,x1e80100-system-noch!|interconnect@16c00002qcom,x1e80100-pcie-south-anoclЀ!|finterconnect@16d00002qcom,x1e80100-pcie-center-anocmp!|interconnect@16e00002qcom,x1e80100-aggre1-nocnD!|fQinterconnect@17000002qcom,x1e80100-aggre2-nocp!|finterconnect@17400002qcom,x1e80100-pcie-north-anoct!|interconnect@17500002qcom,x1e80100-usb-center-anocu!|interconnect@17600002qcom,x1e80100-usb-north-anocvp!|finterconnect@17700002qcom,x1e80100-usb-south-anocw!|finterconnect@17800002qcom,x1e80100-mmss-nocx!|fpci@1bf8000pci2qcom,pcie-x1e80100`0p p@ppparfdbielbiatuconfigmhi 8p p0p0`EFGHIJ(msi0msi1msi2msi3msi4msi5msi6msi7KLM8n3v3x3y3333"<auxcfgbus_masterbus_slaveslave_q2anoc_aggrcnoc_sf_axi 3v0$0n 5pcie-memcpu-pcieb3"3#pcilink_down3 E-Spciephyokay ]T iTt,default"phy@1bfc000"2qcom,x1e80100-qmp-gen4x2-pcie-phy (n3z3x3{3}auxcfg_ahbrefrchngpipeb3%3$phyphy_nocsr 3{03 Ypcie6a_pipe_clkWokayf-pci@1c08000pci2qcom,pcie-x1e80100`0||@||parfdbielbiatuconfigmhi 8| |0|0`(msi0msi1msi2msi3msi4msi5msi6msi78n3`3b3c3i3j33!<auxcfgbus_masterbus_slaveslave_q2anoc_aggrcnoc_sf_axi 3`0$0n 5 pcie-memcpu-pcieb33pcilink_down3E,Spciephyokay ]T iT",defaultphy@1c0e000"2qcom,x1e80100-qmp-gen3x2-pcie-phy (n3`3b3d3fauxcfg_ahbrefrchngpipeb3phy 3d03Ypcie4_pipe_clkWokayf,hwlock@1f400002qcom,tcsr-mutexf(clock-controller@1fc00002qcom,x1e80100-tcsrsysconnYfinterconnect@264000002qcom,x1e80100-gem-noc&@1!|f5interconnect@320c00002qcom,x1e80100-nsp-noc2 !|fcodec@6aa0000:2qcom,x1e80100-lpass-wsa-macroqcom,sm8550-lpass-wsa-macro(nDfgmclkmacrodcodecfsgenY wsa2-mclkWSA2fsoundwire@6ab00002qcom,soundwire-v2.0.0niface WSA2",default ??    ) ; L c ~  okayfspeaker@0,02sdw20217020400   WooferRightfspeaker@0,12sdw20217020400   TwitterRightfcodec@6ac000082qcom,x1e80100-lpass-rx-macroqcom,sm8550-lpass-rx-macro(n@fgmclkmacrodcodecfsgenYmclkfsoundwire@6ad00002qcom,soundwire-v2.0.0niface RX",default      ) ; L c ~  okayfcodec@0,42sdw20217010d00fcodec@6ae000082qcom,x1e80100-lpass-tx-macroqcom,sm8550-lpass-tx-macro(n9fgmclkmacrodcodecfsgenYmclkfcodec@6b00000:2qcom,x1e80100-lpass-wsa-macroqcom,sm8550-lpass-wsa-macro(nBfgmclkmacrodcodecfsgenYmclkWSAfsoundwire@6b100002qcom,soundwire-v2.0.0niface WSA",default ??    ) ; L c ~  okayfspeaker@0,02sdw20217020400   WooferLeftfspeaker@0,12sdw20217020400   TwitterLeftfsoundwire@6d300002qcom,soundwire-v2.0.0niface corewakeupTX",default);Lc~ okayfcodec@0,32sdw20217010d00 fcodec@6d4400082qcom,x1e80100-lpass-va-macroqcom,sm8550-lpass-va-macro@$n9fgmclkmacrodcodecYfsgen",default/I>fpinctrl@6e80000>2qcom,x1e80100-lpass-lpi-pinctrlqcom,sm8550-lpass-lpi-pinctrl %nfg coreaudioEUaftx-swr-active-statefclk-pinsmgpio0 rswr_tx_clk{data-pins mgpio1gpio2 rswr_tx_data{rx-swr-active-statefclk-pinsmgpio3 rswr_rx_clk{data-pins mgpio4gpio5 rswr_rx_data{dmic01-default-statefclk-pinsmgpio6 rdmic1_clk{data-pinsmgpio7 rdmic1_data{dmic23-default-statefclk-pinsmgpio8 rdmic2_clk{data-pinsmgpio9 rdmic2_data{wsa-swr-active-statefclk-pinsmgpio10 rwsa_swr_clk{data-pinsmgpio11 rwsa_swr_data{wsa2-swr-active-statefclk-pinsmgpio15 rwsa2_swr_clk{data-pinsmgpio16rwsa2_swr_data{spkr-01-sd-n-active-statemgpio12rgpio{fspkr-23-sd-n-active-statemgpio13rgpio{finterconnect@7e400002qcom,x1e80100-lpass-ag-noc!|interconnect@74000002qcom,x1e80100-lpass-lpiaon-noc@!|interconnect@74300002qcom,x1e80100-lpass-lpicx-nocC!|fphy@88e000082qcom,x1e80100-snps-eusb2-phyqcom,sm8550-snps-eusb2-phyTWn refb39 disabledfusb@a0f88002qcom,x1e80100-dwc3qcom,dwc3 Hn333333 333Rcfg_noccoreifacesleepmock_utminoc_aggrnoc_aggr_northnoc_aggr_southnoc_sys 330$ 4r:9 1pwr_eventdp_hs_phy_irqdm_hs_phy_irqss_phy_irq3Eb3A0n 56%usb-ddrapps-usbI okayusb@a000000 2snps,dwc3  a 2 0Susb2-phyusb3-phyhost"portendpointusb@a2f88002qcom,x1e80100-dwc3qcom,dwc3 / Hn333333 333Rcfg_noccoreifacesleepmock_utminoc_aggrnoc_aggr_northnoc_aggr_southnoc_sys 330$ (21&pwr_eventdp_hs_phy_irqdm_hs_phy_irq3Eb3=0n 56"usb-ddrapps-usbI disabledusb@a200000 2snps,dwc3   2 Susb2-phy 2high-speedportendpointusb@a6f88002qcom,x1e80100-dwc3qcom,dwc3 oHn3333 33 333Rcfg_noccoreifacesleepmock_utminoc_aggrnoc_aggr_northnoc_aggr_southnoc_sys 330$ 4s=1pwr_eventdp_hs_phy_irqdm_hs_phy_irqss_phy_irq3Eb3?I okayusb@a600000 2snps,dwc3 ` c 2  .Susb2-phyusb3-phyhost"portendpointusb@a8f88002qcom,x1e80100-dwc3qcom,dwc3 Hn33 333 3 333Rcfg_noccoreifacesleepmock_utminoc_aggrnoc_aggr_northnoc_aggr_southnoc_sys 3 3 0$ 4t< /1pwr_eventdp_hs_phy_irqdm_hs_phy_irqss_phy_irq3Eb3@0n 56$usb-ddrapps-usbI okayusb@a800000 2snps,dwc3  e 2` /Susb2-phyusb3-phyhost"portendpointdisplay-subsystem@ae000002qcom,x1e80100-mdss mdss Sn3&:bHn5   56mdp0-memmdp1-memcpu-cfg 2s okayfdisplay-controller@ae010002qcom,x1e80100-dpu   mdpvbif(n3&=:Fnrt_busifacelutcorevsync@1ports port@0endpointTfport@4endpointTfport@5endpointTfport@6endpointTfopp-table2operating-points-v2fopp-200000000d Eopp-325000000d_@Eopp-375000000dZ Eopp-514000000dEopp-575000000d"EEdisplayport-controller@ae900002qcom,x1e80100-dpP      (n ;core_ifacecore_auxctrl_linkctrl_link_ifacestream_pixel k..@1.Sdp disabledports port@0endpointTfport@1endpointopp-table2operating-points-v2fopp-160000000d hEopp-270000000d߀Eopp-540000000d /Eopp-810000000d0GEdisplayport-controller@ae980002qcom,x1e80100-dpP      (n;core_ifacecore_auxctrl_linkctrl_link_ifacestream_pixel k//@1/Sdp disabledports port@0endpointTfport@1endpointopp-table2operating-points-v2fopp-160000000d hEopp-270000000d߀Eopp-540000000d /Eopp-810000000d0GEdisplayport-controller@ae9a0002qcom,x1e80100-dpP     (n"$'(;core_ifacecore_auxctrl_linkctrl_link_ifacestream_pixel %)k@1Sdp disabledports port@0endpointTfport@1opp-table2operating-points-v2fopp-160000000d hEopp-270000000d߀Eopp-540000000d /Eopp-810000000d0GEdisplayport-controller@aea00002qcom,x1e80100-dpP     (n-/23;core_ifacecore_auxctrl_linkctrl_link_ifacestream_pixel 04k@1Sdpokayports port@0endpointTfport@1endpoint `=Av1Tfopp-table2operating-points-v2fopp-160000000d hEopp-270000000d߀Eopp-540000000d /Eopp-810000000d0GEaux-buspanel 2edp-panelportendpointTfphy@aec2a002qcom,x1e80100-dp-phy@ * " & n" auxcfg_ahb1YW disabledfphy@aec5a002qcom,x1e80100-dp-phy@ Z R V Pn- auxcfg_ahb1YWokayfclock-controller@af000002qcom,x1e80100-dispcc dn*3%+..//1EYfinterrupt-controller@b2200002qcom,x1e80100-pdcqcom,pdc "@dH**/ 4ca  0sfpower-management@c300000%2qcom,x1e80100-aoss-qmpqcom,aoss-qmp 0)) )Yfarbiter@c4000002qcom,x1e80100-spmi-pmic-arb0 @0 P@ Dcorechnlsobsrvr spmi@c42d000 B@ L cnfgintr periph_irq s spmi@c432000 C @ M cnfgintr periph_irq s pmic@72qcom,smb2360qcom,spmi-pmic phy@fd002qcom,smb2360-eusb2-repeaterWfpmic@a2qcom,smb2360qcom,spmi-pmic  phy@fd002qcom,smb2360-eusb2-repeaterWfpmic@b2qcom,smb2360qcom,spmi-pmic  phy@fd002qcom,smb2360-eusb2-repeaterWfpinctrl@f1000002qcom,x1e80100-tlmm EUsaT",fTqup-i2c0-data-clk-state mgpio0gpio1 rqup0_se0{ fnqup-i2c1-data-clk-state mgpio4gpio5 rqup0_se1{ fsqup-i2c2-data-clk-state mgpio8gpio9 rqup0_se2{ fvqup-i2c3-data-clk-statemgpio12gpio13 rqup0_se3{ fyqup-i2c4-data-clk-statemgpio16gpio17 rqup0_se4{ f|qup-i2c5-data-clk-statemgpio20gpio21 rqup0_se5{ fqup-i2c6-data-clk-statemgpio24gpio25 rqup0_se6{ fqup-i2c7-data-clk-statemgpio14gpio15 rqup0_se7{ fqup-i2c8-data-clk-statemgpio32gpio33 rqup1_se0{ fSqup-i2c9-data-clk-statemgpio36gpio37 rqup1_se1{ fXqup-i2c10-data-clk-statemgpio40gpio41 rqup1_se2{ f[qup-i2c11-data-clk-statemgpio44gpio45 rqup1_se3{ f^qup-i2c12-data-clk-statemgpio48gpio49 rqup1_se4{ faqup-i2c13-data-clk-statemgpio52gpio53 rqup1_se5{ fdqup-i2c14-data-clk-statemgpio56gpio57 rqup1_se6{ fgqup-i2c15-data-clk-statemgpio54gpio55 rqup1_se7{ fjqup-i2c16-data-clk-statemgpio64gpio65 rqup2_se0{ f8qup-i2c17-data-clk-statemgpio68gpio69 rqup2_se1{ f;qup-i2c18-data-clk-statemgpio72gpio73 rqup2_se2{ f>qup-i2c19-data-clk-statemgpio76gpio77 rqup2_se3{ fAqup-i2c20-data-clk-statemgpio80gpio81 rqup2_se4{ fDqup-i2c21-data-clk-statemgpio84gpio85 rqup2_se5{ fGqup-i2c22-data-clk-statemgpio88gpio89 rqup2_se6{ fKqup-i2c23-data-clk-statemgpio86gpio87 rqup2_se7{ fNqup-spi0-cs-statemgpio3 rqup0_se0{frqup-spi0-data-clk-statemgpio0gpio1gpio2 rqup0_se0{fqqup-spi1-cs-statemgpio7 rqup0_se1{fuqup-spi1-data-clk-statemgpio4gpio5gpio6 rqup0_se1{ftqup-spi2-cs-statemgpio11 rqup0_se2{fxqup-spi2-data-clk-statemgpio8gpio9gpio10 rqup0_se2{fwqup-spi3-cs-statemgpio15 rqup0_se3{f{qup-spi3-data-clk-statemgpio12gpio13gpio14 rqup0_se3{fzqup-spi4-cs-statemgpio19 rqup0_se4{f~qup-spi4-data-clk-statemgpio16gpio17gpio18 rqup0_se4{f}qup-spi5-cs-statemgpio23 rqup0_se5{fqup-spi5-data-clk-statemgpio20gpio21gpio22 rqup0_se5{fqup-spi6-cs-statemgpio27 rqup0_se6{fqup-spi6-data-clk-statemgpio24gpio25gpio26 rqup0_se6{fqup-spi7-cs-statemgpio13 rqup0_se7{fqup-spi7-data-clk-statemgpio14gpio15gpio12 rqup0_se7{fqup-spi8-cs-statemgpio35 rqup1_se0{fWqup-spi8-data-clk-statemgpio32gpio33gpio34 rqup1_se0{fVqup-spi9-cs-statemgpio39 rqup1_se1{fZqup-spi9-data-clk-statemgpio36gpio37gpio38 rqup1_se1{fYqup-spi10-cs-statemgpio43 rqup1_se2{f]qup-spi10-data-clk-statemgpio40gpio41gpio42 rqup1_se2{f\qup-spi11-cs-statemgpio47 rqup1_se3{f`qup-spi11-data-clk-statemgpio44gpio45gpio46 rqup1_se3{f_qup-spi12-cs-statemgpio51 rqup1_se4{fcqup-spi12-data-clk-statemgpio48gpio49gpio50 rqup1_se4{fbqup-spi13-cs-statemgpio55 rqup1_se5{ffqup-spi13-data-clk-statemgpio52gpio53gpio54 rqup1_se5{fequp-spi14-cs-statemgpio59 rqup1_se6{fiqup-spi14-data-clk-statemgpio56gpio57gpio58 rqup1_se6{fhqup-spi15-cs-statemgpio53 rqup1_se7{flqup-spi15-data-clk-statemgpio54gpio55gpio52 rqup1_se7{fkqup-spi16-cs-statemgpio67 rqup2_se0{f:qup-spi16-data-clk-statemgpio64gpio65gpio66 rqup2_se0{f9qup-spi17-cs-statemgpio71 rqup2_se1{f=qup-spi17-data-clk-statemgpio68gpio69gpio70 rqup2_se1{f<qup-spi18-cs-statemgpio75 rqup2_se2{f@qup-spi18-data-clk-statemgpio72gpio73gpio74 rqup2_se2{f?qup-spi19-cs-statemgpio79 rqup2_se3{fCqup-spi19-data-clk-statemgpio76gpio77gpio78 rqup2_se3{fBqup-spi20-cs-statemgpio83 rqup2_se4{fFqup-spi20-data-clk-statemgpio80gpio81gpio82 rqup2_se4{fEqup-spi21-cs-statemgpio87 rqup2_se5{fIqup-spi21-data-clk-statemgpio84gpio85gpio86 rqup2_se5{fHqup-spi22-cs-statemgpio91 rqup2_se6{fMqup-spi22-data-clk-statemgpio88gpio89gpio90 rqup2_se6{fLqup-spi23-cs-statemgpio85 rqup2_se7{fPqup-spi23-data-clk-statemgpio86gpio87gpio84 rqup2_se7{fOqup-uart21-default-statemgpio86gpio87 rqup2_se5{fJedp-reg-en-statemgpio70rgpio{fkybd-default-statemgpio67rgpiofpnvme-reg-en-statemgpio18rgpio{fpcie4-default-statefclkreq-n-pinsmgpio147 rpcie4_clk{ perst-n-pinsmgpio146rgpio{wake-n-pinsmgpio148rgpio{ pcie6a-default-statefclkreq-n-pinsmgpio153 rpcie6a_clk{ perst-n-pinsmgpio152rgpio{wake-n-pinsmgpio154rgpio{ tpad-default-statemgpio3rgpiofots0-default-statefUint-n-pinsmgpio51rgpioreset-n-pinsmgpio48rgpio{wcd-reset-n-active-statemgpio191rgpio{fiommu@1500000012qcom,x1e80100-smmu-500qcom,smmu-500arm,mmu-500Aabcdefghijklmnopqrstuv;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXY(5f2interrupt-controller@17000000 2arm,gic-v3 H  sH_ fmsi-controller@170400002arm,gic-v3-itst disabledrsc@175000002qcom,rpmh-rsc0PQRdrv-0drv-1drv-2$   apps_rsc'bcm-voter2qcom,bcm-voterf!clock-controller2qcom,x1e80100-rpmh-clknxoYfpower-controller2qcom,x1e80100-rpmhpd@f1opp-table2operating-points-v2fopp-16opp-480opp-524opp-568opp-60<opp-64@fopp-80Popp-128fopp-144opp-192fopp-256fopp-320@fopp-336Popp-384opp-416regulators-02qcom,pm8550-rpmh-regulatorsb   . ? P _ nbob1 }vreg_bob1 -